Web Stats Provided By Google Analytics

Thursday, June 27, 2013

It takes a village: Gaults' project reinvigorates Saugatuck

... such as Doc's Cafe -- which closed in November 2011 -- and also included garages for Gault Energy and Gault Stone. Fairfield-based Walker Construction performed excavation work at the site of the second-phase development to prepare it for the new ...



via Fairfield Newswire http://www.westport-news.com/news/article/It-takes-a-village-Gaults-project-reinvigorates-4627324.php

No comments:

Post a Comment

Popular Fairfield Roundup Posts